狗老公小说-沟厕okn系列在线播放-勾搭已婚高h-共妻高h-色老板在线观看-色老板在线播放

當(dāng)前位置:首頁 > 新聞中心 > 技術(shù)資訊

全自動(dòng)溫升試驗(yàn)裝置CRC的生成

  溫升試驗(yàn)裝置又一些校驗(yàn)數(shù)據(jù)需要生成,下面合眾電氣專家舉例介紹,全自動(dòng)溫升試驗(yàn)裝置CRC的生成。

  循環(huán)冗余校驗(yàn)(CRC) 域?yàn)閮蓚€(gè)字節(jié),包含一個(gè)二進(jìn)制16 位值。附加在報(bào)文后面的CRC 的值由發(fā)送設(shè)備計(jì)算。接收設(shè)備在接收?qǐng)?bào)文時(shí)重新計(jì)算CRC 的值,并將計(jì)算結(jié)果于實(shí)際接收到的CRC值相比較。如果兩個(gè)值不相等,則為錯(cuò)誤。

  生成CRC 的過程為:

  (1)將一個(gè)16 位寄存器裝入十六進(jìn)制FFFF (全1)。 將之稱作CRC 寄存器。

  (2)將報(bào)文的第一個(gè)8位字節(jié)與16 位CRC 寄存器的低字節(jié)異或,結(jié)果置于CRC 寄存器。

  (3)將CRC 寄存器右移1位(向LSB 方向), MSB 充零。 提取并檢測(cè)LSB。

  (4)(如果LSB為0):重復(fù)步驟3 (另一次移位)。(如果LSB為1): 對(duì)CRC寄存器異或多項(xiàng)式值0xA001 (1010 0000 0000 0001)。

  (5)重復(fù)步驟3 和 4,直到完成8 次移位。當(dāng)做完此操作后,將完成對(duì)8位字節(jié)的完整操作。

  (6)對(duì)報(bào)文中的下一個(gè)字節(jié)重復(fù)步驟2 到5,繼續(xù)此操作直至所有報(bào)文被處理完畢。

  (7) CRC 寄存器中的最終內(nèi)容為CRC 值。

  (8)當(dāng)放置CRC 值于報(bào)文時(shí),高低字節(jié)必須交換。

  以上是全自動(dòng)溫升試驗(yàn)裝置CRC的生成。如果需要更多電力檢測(cè)設(shè)備,請(qǐng)聯(lián)系合眾電氣在線客服。

相關(guān)產(chǎn)品推薦

    全自動(dòng)溫升試驗(yàn)裝置,溫升試驗(yàn)裝置,大電流溫升試驗(yàn)裝置 相關(guān)產(chǎn)品更新中...
主站蜘蛛池模板: 久久久久久国产视频| 5151四虎| 亚洲一区麻豆文化传媒仙踪林| 久久综合琪琪狠狠天天| 久9热精品视频在线观看| narutopixxx玖辛奈污| 91尤物国产尤物福利| 日本午夜精品久久久久久| yellow动漫高清无删减在线观看| 一本大道在线观看| 欧美国产精品一区二区免费| 美女视频黄频a免费高清不卡软件| 欧美视频一区二区三区| 美女的隐私蜜桃传媒免费看| 欧美一级高清在线观看| 久久精品无码一区二区三区| 日本在线00xx观看播放| 在线综合网| 高清视频完整版在线观看| 女人的逼毛片| 久久人人爽人人爽人人片va| 久久99亚洲精品一区二区| 一二三四社区在线视频社区| 日本高清在线观看| 青青操免费在线视频| 噢美激情| 日本高清视频在线| 1769国产精品一区2区| 99热这里只有精品国产99| 日韩欧美一区二区三区在线观看| aaaaaaaaa在线观看| 欧美videosdesexo残疾人| 俺去啦婷婷| 一区精品麻豆入口| 91一区| 亚洲资源在线| 免费视频不卡一区二区三区| 91在线品视觉盛宴免费| 91制片厂制作传媒网站破解版| 日日插日日操| 久久这里只精品国产99re66|